Initializing Altera MEGARAMs in simulation

For whatever reason, I need to be able to initialize the M-RAMs in Altera chips, and I need to do this in a timing simulation, for which I use Modelsim.

So I've hacked the stratix_atoms simulation libraries to initialize them, and that works fine. I can start a simulation, look in those memories and everything they have is correct, but now the simulation is incorrect. Anyone know why this might be? Are the columns of the RAM permuted for timing reasons, is it endianness, or anything else?

I need to do this with a lot of designs, so setting break points and using the Update Embedded Memories in Quartus' simulator is annoying. Any other suggestions?

Reply to
Peter Y
Loading thread data ...

Answering my own question:

Yes :(

dammit, I want to initialize them!

Reply to
Peter Y

What about using mif-files (memory initialization files) ?

Rgds André

Reply to
ALuPin

The MegaRAM cannot be initilialized in the hardware.

- Paul

Reply to
Paul Leventis (at home)

So are they used for simulation only ?

Reply to
ALuPin

"ALuPin" schrieb im Newsbeitrag news: snipped-for-privacy@posting.google.com...

ROTFL ! ROTFL !

that would a real nice to way to add new features to FPGA

- simulation only FPGA primitives!

what an opportunity for those marketing guys that would be!

Antti

Reply to
Antti Lukats

Hi Peter,

I suspect the P&R tool, knowing the MRam is not initializable, may probably feel free to connect the data and address pins in any order :-( Hacking the simulation netlist automatically (Tcl script?) might be possible, recognizing the bus order from the net names and rewiring them in an orderly fashion to your own initialized model, but this doesn't seem obvious.

Pls keep us posted on your findings, this is an interesting case :-)

Bert

Peter Y wrote:

Reply to
info_

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.