dear all, i want to store image from PC to BRAM of an FPGA.i have image 192x96 size.
1) which type of interfacing should i use to transfer image into BRAM from PC2) how to write a program for it? do you have any material on this please tell me.
and i wrote a program for it.it is synthesizer. but how to sending my pixel values i am not getting. see below my code.
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all;
entity BRAM is port (CLK : in std_logic; WE : in std_logic; EN : in std_logic; ADDR : in std_logic_vector(14 downto 0); DI : in std_logic_vector(7 downto 0); DO : out std_logic_vector(7 downto 0)); end BRAM;
architecture syn of BRAM is type ram_type is array (18431 downto 0) of std_logic_vector (7 downto
0);signal RAM: ram_type; begin
process (CLK) begin if CLK'event and CLK = '1' then if EN = '1' then if WE = '1' then RAM(conv_integer(ADDR))