`ifdef XST?

Does anybody know if XST defines any testable variables when compiling verilog code?

Some of the compilers or simulators that I'm using have differing $readmemh() semantics, and I'd like to be able to write something like:

`ifdef INCA initial $readmemh("memory",configurations,0,15); `else `ifdef XST initial $readmemh("memory",configurations,0,15); `else initial $readmemh("memory",configurations); `endif `endif

Reply to
Neil Steiner
Loading thread data ...

If you are using the GUI flow, the synthesis Properties page allows you to add defines. You may need to use the advanced properties page.

Hope this helps.

John Providenza

Reply to
johnp

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.