IBUFG as ? component

Instantiating IBUFG as a component shows up in ISE with a ? next to it in the navigator since there is no HDL source file for the declared primitive. Why is this?

The design maps/translates and works fine on the card.

Reply to
Yaseen Zaidi
Loading thread data ...

Just put the " use UNISIM.VComponents.all; " in the library declaration part it will do the trick..

Moti.

Reply to
Moti

-- synthesis translate_off library UNISIM; use UNISIM.VComponents.all;

-- synthesis translate_on

gives you one warning less during synthesis...

Reply to
Jochen

I forgot to mention the "library UNISIM" You got me there ;-))

Reply to
Moti

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.