I am getting errors when i run a systemC Code in edaplayground

Hi,

I am getting below errors when i use systemc in edaplayground

error: 'sc_sgnal' was not declared in this scope.

I have added systemC2.3 library aswell.

using C++ Copiler.

Please help me

Thanks Bharath

Reply to
ecebharath
Loading thread data ...

I would suggest you compile your own local library as it will give you a more convenient and quicker working environment. Just google how to do it.

sc_signal?

Hans

formatting link

Reply to
HT-Lab

Hi,

I am using eda playground.In which they provided the libraries to be included.

Even after i have included the libraries also i am getting the error that is not finding the sc_signals class.

Thanks Bharath

Reply to
ecebharath

Hi Bharath, did you notice that Hans was saying you may have misspelt sc_signal? The error message says sc_sgnal (no 'i').

regards Alan

--
Alan Fitch
Reply to
Alan Fitch

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.