how to use STD_LOGIC_VECTOR2

Hi

I need to convert

type : array (0 to 3) of std_logic_vector (31 downto 0)

to

type : STD_LOGIC_VECTOR2 ( 3 downto 0 , 31 downto 0 )

As an example, I was using signal

DATA_TEMP(3)

Reply to
Pasacco
Loading thread data ...

Create a function that takes as input an array of std_logic_vector() and outputs a two dimensional array of of std_logic_vector2.

Kevin Jennings

Reply to
KJ

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.