how to read a sequence of video

hi , please i want some informations about reading videos using VHDL : how to input videos then to treat it? please i want informations about automatic way and manual way please and thank you

Reply to
kha_vhdl
Loading thread data ...

What has changed since the last time this was answered?

1) you need a video source to generate the simulation date - DVD, MPEG 2 file, DIVX 2) you need to translate that digital video into something your chip can use, specifically

"what video format? how is it stored? streaming input signal? analog input to an ADC?"

3) the simulation data would ideally be stored in a file to bea read by an $fscanf or $fread type of command 4) your testbench translates the file data to input stimulus appropriate for your simulation

Do you know at this point what you are trying to accomplish at a system level by "reading videos?" If so, please think about the process a little more and try to communicate your needs more precisely.

Reply to
John_H

And another thing: my response was a Verilog response. You're looking for VHDL, aren't you? Please substitute your favorite VHDL file access commands for how to read simulation data from files.

If you're looking for synthesized video interfaces and processing, your question is completely misleading with your request for "informations about automatic way and manual way."

Reply to
John_H

Reply to
Peter Alfke

Well said Peter, though I tend to be more bemused than frustrated by such requests. My guess is that either they're students sitting on the wrong side of the bell curve that have been given an assignment that is way outside their capabilities, or hobbyists/hackers whose greatest achievement so far is patching their name and a few rude words into the credits of their favourite game and think 'how much harder can it be' to develop some cool video processor on an FPGA dev board.

Any educated engineer or earnest hobbyist would have the common sense and also take the time to do at least enough research to be able to understand the problem they're trying to solve in the first place.

Regards,

--
Mark McDougall, Engineer
Virtual Logic Pty Ltd, 
 Click to see the full signature
Reply to
Mark McDougall

It's rumored that the VHDL 2009 standard will include a standard package for video processing, so you're probably best off waiting for that.

Reply to
Eric Smith

hi for all, first of all , i m sorry for my stupid question , very sorry , but if i m stupid please try to let me at the right way . I try to programm a coder video it contains many modules and i want to know how can i read my video at the first time . for an information till now i dont have any information about my video ( my teacher didnt tell me these details) and for me as a beginner i want know the different ways to read it ; till now what i know two ways of inputing manual and automatic one . Really these are the informations that i know

Reply to
kha_vhdl

On Mar 27, 11:14 am, "kha_vhdl" wrote: [...]

The right way is to go to your teacher and ask him. How do you expect someone here to read the mind of your teacher, if you are not able to do it?

Cheers,

Guenter

Reply to
Guenter

There is no one way. It entirely depends on what your teacher will be providing.

If you assume that the simulation and the front end of the FPGA will "magically" produce data that you can conceptually think of as YUV space video (or YCrCb or RGB or one channel of monochrome) as 8-bit video components, your video processing - which is the only thing you can concentrate on until you know enough to build the FPGA front end and the simulation interface - will have an input data stream of these 8-bit elements with line-valid and frame-valid signals.

That's it.

Nobody here (unless your teacher is visiting comp.arch.fpga) can help you further on the front end. It's a black box. Treat it as such.

And TALK to your teacher. ASK what the video format will be (YUV, RGB, etc) and how it will be provided (4:2:2 YUV, 4:1:1, 24-bit RGB).

And good luck.

Reply to
John_H

Thank you for your anwser first of all : for my video it will be RGB and provided 24-bit RGB ( as i did program my modules ) , what i will do is to simulate my coder with a video thank you

Reply to
kha_vhdl

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.