How to import EDIF netlist into ISE webpack 7.1

Hallo,

I am a newbie evaluating xilinx tools & FPGAs for our project.Our company traditionally uses altera.We have bought a j83 ac modulator IPcore from Xilinx.In the ISE I have implemented the design.

Now the manual says I must import the EDIF netlist generated by ISE into a new project and use it as blackbox.

I couldnt find any importing button in ISE to import EDIF netlist.

I read some answers on xilinx website and this news groups.They simply say "import the netlist into ISE project".

can anybody help me how to do it?What is file extension for EDIF netlist?

Sorry if it is a trivial query.

Thank you. Monica, Germany

PS:I would like to use the modulator(IP core) and DUC(IP core) and interface them on top level.

Reply to
Monica
Loading thread data ...

Monica schrieb am 03.08.2005 11:28:

Du musst im HDL-Code für das Toplevel den Core wie jede andere Entity deklarieren und instanziieren. Durch die Instanziierung merkt ISE, dass dieser Core gebraucht wird. Wenn für diese Entity kein HDL-Quellcode im ISE-Projekt vorhanden ist, wird sie im "Project Workspace" mit einem Fragezeichen vorne markiert, und ISE versucht dann bei der Implementierung, eine Netzliste dafür nachzuladen. Dabei durchsucht es das aktuelle Verzeichnis und das, das im Projekt als "Macro Search Path" angegeben ist (den kann man in den Properties für den "Translate"-Schritt einstellen, das ist der Suchpfad für Netzlisten von IP-Cores), dort muss dann das EDF-File liegen.

Also: EDIF-Netzliste ins Projektverzeichnis kopieren, Core im Toplevel instanziieren, den Rest macht ISE.

cu, Sean

Reply to
Sean Durkin

Hallo Sean,

Vielen Dank.Thank you very much.

regards, Grusse, Monica

Reply to
Monica

The german-impaired of us could maybe use that explanation too ;)

-- Brian

Sean Durk> Monica schrieb am 03.08.2005 11:28:

Reply to
Brian Dam Pedersen

Brian Dam Pedersen wrote 04/08/05 21:31:

OK, here we go:

All you have to do is declare and instantiate the core in your toplevel HDL-file like every other component. That way ISE knows that this entity is needed, and if there's no HDL-source for this component it's displayed with a question mark in the "Project Workspace". In the implementation phase, ISE searches the project folder for an EDIF netlist for the core you instantiated (so the filename should match the component name in your HDL), so all you have to do is put the netlist there for ISE to find it. Alternatively, you can set the "Macro Search Path" in the "Translate"-properties to a different folder and put the netlist there (in case you have a general repository for cores for all your projects).

To sum it up:

  1. Instantiate the core you want to use in your HDL-code
  2. Put the EDF-file in the project folder or in the folder specified in the "Macro Search Path"-option.

cu, Sean

Reply to
Sean Durkin

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.