How to get lowest price for a ModelSim license?

Hi, We want to buy a ModelSim license.

  1. Buy Xilinx-ModelSim version license from Xilinx website shop for 50 with dongle and 1 year expiration limit;

  1. Buy ModelSim PE version from one of agents we contact: K for perpatual license.

Both versions will work and make no differences to our applications.

Does anyone knows a better way to buy a ModelSim license with lowest price except the above two options? For a start-up, we prefer lowest price, of course.

Thank you.

Weng

Reply to
Weng Tianxiang
Loading thread data ...

Reply to
oneweek

Hi Weng, I guess from 'start-up' you are talking commercial use. If, however, you are an academic user, you might want to talk to europractice.

formatting link

Andy

Reply to
Andy

Quartus FIXEDPC $2k license covers windows modelsim for vhdl or verilog. One year limit only applies to updates -- tools keep working. From distributors only.

Quartus FLOATLNX $3k license covers linux modelsim for vhdl or verilog. One year limit only applies to updates -- tools keep working. From distributors only.

-- Mike Treseler

Reply to
Mike Treseler

ModelSim is included with shipments of Lattice's ispLEVER base software, which you can purchase (Windows part number: LS-HDL-BASE-PC-N) from the Lattice online store for $495 at

formatting link

Regards, Bart Borosky, Lattice

Reply to
bart

if you use verilog I suggest you to try icarus verilog :

formatting link
and the wave viewer you can use wave 1.0 :
formatting link
I think they are quite good. They are free and in my opinion they are veru good.

Francesco

Reply to
Francesco

Are you sure about that Mike, I thought they changed the licencing model a while ago so Modelsim only works for 18 months now.

Nial.

Reply to
Nial Stewart

Weng

Have you tried GHDL? It's a great simulator for start-up and costs nothing.

formatting link

Good luck!

Richard

Reply to
rnbrady

I'm not 100% sure of anything. But that is what the licensing data sheet says. Anybody from Altera got their ears on?

-- Mike Treseler

Reply to
Mike Treseler

If you're not tied to Modelsim it might be worth looking at VHDL Simili from SymphonyEDA...

formatting link

It's a fully compliant VHDL simulator. I've been evaluating it since it came out, it's always been a bit slower than Modelsim but I see that they've put some effort into speeding it up for the latest release (I haven't tried this so can't comment).

Hard to beat for the price

Nial.

Reply to
Nial Stewart

Hi Weng,

How large designs are we talking about?

For small designs, you can always use free tools. Both open-source like IcarusVerilog and free versions of commercials products. For example, Altera Quartus II WebPack and Actel Libero Gold are both free and include simulators. In the case of Actel it is actually a version of ModelSim.

The free versions may sometimes be a little limited, but we have paid hundreds of thousands of dollars for much worse tools in past.

(Please note that I dont suggest you should switch to Altera/Actel).

regards -Burns

Reply to
burn.sir

Hi Everyone, Thank you for your responses.

It is a start-up project for commercial use and I am not an academic researcher, instead of, an engineer who has a 2nd own project going on at home and hasn't got financial support from any investors.

I have been using Xilinx free tools with ModelSim free software. Now ModelSim says that the size of project is beyond 50K statements that is beyond its limit of 10K statements. Whie beyond limit, ModelSim goes very slow.

$3K price for ModelSim PE is acceptable to us, but finally it is found that ModelSim Design is for $3K and ModelSim PE for $5K.

So we are wighting for other choice.

Thank you.

Weng

snipped-for-privacy@gmail.com wrote:

Reply to
Weng Tianxiang

Weng,

Have you looked at Aldec? Their simulator is as good as modelsim, and has an easier user interface. They also offer VHDL/verilog/edif/matlab co-simulation. The cadillac version competes favorably with modelsim PE for I think a similar price. The versions with fewer features are cheaper. You might contact Aldec and talk to them about your needs. One of the big advantages with Aldec is the customer support, I've always gotten personal attention from their support within hours. Model tech, on the other hand has not been all that responsive when issues come up.

Reply to
Ray Andraka

I wanted to use Icarus but I was confronted to a big problem (as a user of Xilinx): in the simlation libraries there are specify blocs and Icarus verilog doesn't support them and there are no shoft term plans to support them. Great was my deception (as open source enthusiast) but now I'm obliged to use a commercial simulator.

I used gtk-wave for that.

Reply to
GaLaKtIkUs™

There was a bug in the unisim libraries at one point which make ghdl (rightfully) choke: an element of an enum had the same name as a variable or a type IIRC. Maybe that is your problem?

Cheers, Colin

Reply to
Colin Marquardt

I'm talking about icarus verilog ... not about ghdl!!! Cheers

Reply to
GaLaKtIkUs™
Reply to
Stephen Williams

Interesting - can you give some comments on the relative speed / reliability /size of the present Icarus release ?

-jg

Reply to
Jim Granville
Reply to
Stephen Williams

Could you write your own code and not use the libraries?

-- Mike Treseler

Reply to
Mike Treseler

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.