how to choose the perfect fpga support

hi every body, i want to implement a vhdl program into an fpga support ( the program is compressing video ), i want know what are the basics that i should know to choose the perfect fpga( for example Sparta,3 or Virtex 4 into Xlinx) and thank you for your answer

Reply to
kha_vhdl
Loading thread data ...

Will you ever learn to ask a meaningful question, supported by meaningful parameters? Don't you have a teacher that can explain the basics? Six weeks ago you asked a similar dumb question, and I answered then:

It is very frustrating to try to answer such an -excuse the harsh word- stupid request. I have all the sympathy in the world for mangled english syntax, grammar, spelling, and poor choice of words. (English is my second language, too). But I have no sympathy for questions that are inherently meaningless and impossible to answer in any language on this planet. If you are smart enough to operate a computer, you should also be smart enough to put meaningful information into a request. Do us a favor: Think before you type ! This newsgroup is not a baby-sitting operation. Peter Alfke

Reply to
Peter Alfke

Well, obviously you thought you were a potential Xilinx customer, by asking the question specifically in terms of Xilinx product line.

basics about being a Xilinx customer -- if you are not a $100B company with a $100M engineering budget willing to purchase $1B of Xilinx product a year, you really don't count enough that Xilinx will be socially respectful and polite.

Xilinx management and I have had this discussion before about CAF abuses, and, well ... they seem unable to fix their personel issues.

I'd suggest taking a look at the Xilinx APNotes and then take a much more careful look at your design requirements, the excellent Altera product line, and see if you can get a respectful reply to your questions in terms of which Altera product would be more suitable for your project.

Why would anyone WANT do business with such an abusive company like Xilinx?

John

Reply to
fpga_toys

This is ridiculous! Peter was as polite as one could only be with this sort of questions! I guess in today's overly politically correct world he could simply say nothing so that not to provoke comments like this, but it wouldn't change the fact that the OP's question was absolutely meaningless! Xilinx has problems but Peter is not one of them, he is in fact one of the greatest assets Xilinx has!

/Mikhail

Reply to
MM

Xilinx's sharp abusive reprimand, you should now understand the

Reply to
Peter Alfke

Add the family number, pin count, the number of CLBs, three times the number of multipliers, half the number of block RAMs, and the last four digits of the telephone number of the local vendor sales office, then divide by 42 for a figure of merit. Pick the FPGA with the highest figure of merit, unless there is a full moon, in which case you should use the third-highest (or wait a few days).

Eric

Reply to
Eric Smith

Mikhail,

Relax. A certain person has a vendetta against myself, Peter, and Xilinx.

We are unsure why.

It is best I not say anything more.

However, once he joins a thread, it is automatically placed on "ignore" as no further useful dialog can be held.

Peter and I remain willing and able to answer all questions, and we try to be as helpful as possible.

Our challenge is to understand why the technology is hard to understand (for some), and discover how to ease its adoption for people who have never studied programmable logic.

Please post he question in a form that details what the requirements are, and we ill be happy to respond. As for this thread, any further Xilinx contribution is no longer possible.

Austin

Reply to
austin

But Austin, no vendetta at all. I've been really clear ... be nasty to other posters, and you set the tone for the replys. If Peter wants to be nasty as he intends to on purpose, then so can others ... accept it, you have certainly repeatedly asked for it.

Be nice ... others will too ... especially me.

Have fun! :)

John

Reply to
fpga_toys

Your nasty reponse was not necessary, correct, or even justified.

If you can not take it in return, then stop trashing powerless newbie students just because you can.

John

Reply to
fpga_toys

hi i m sorry , but you should know that i m beginner , i m not professional so it is normal that for now i m asking stupid questions

Reply to
kha_vhdl

Peter was correct that you should do your homework a little more before asking very general questions. See a tutor, or spend some more time on researching the problem resources and definitions.

His insults however, do not belong on this list. he doesn't own it. control it, or set policy for it.

The differences between the various Altera and Xilinx FPGA families is the resources they provide. To answer your questions, you first need to do a basic design and count the resources critical for your design. With that, you can assign some figures of merit to the various product families, and with a decision table get a solution for your projects design constraints. You will have to do that for your design and project, as each of us will have different designs should we be faced with implementing your problem.

Altera and Xilinx Application Notes and data sheets have many useful descriptions and examples, about similar design objectives.

Have fun ... John

Reply to
fpga_toys

Hi Mikhail,

There are lots of ways to direct a newbie's poor choice of questions without publicly insulting and humiliating them. Frankly, as a key Xilinx asset on this list, Xilinx cann't help but drive business to Altera with Peter's insults ... or drive readership from this list. How many posters will AVOID this list at all costs rather than catch Peter in a foul abusive mood?

If this is Xilinx's idea of great customer relations, then we should make the most of it, and have a lot of fun with it too.

I was told last fall, that it was Xilinx policy that their staff remain respectful and helpful, and they would make sure "it can not happen again". Then Peter twice, rips into the OP, being in his own words "nasty" just because it irked him. Peter's response is not in any way remorse, just a flat statement that it's somehow his right because the OP rubbed him the wrong way ... and obviously you too ... but that doesn't give anybody the right to behave that way. Even my response in kind it not acceptable, but if you and others want to play that way, then I'll have some fun with it too ... till everyone is tired of the BS disrespect, and starts being nice.

I have for a couple years warned Austin and Peter that they need to be nice, or they will set the tone of the replies they get. This certainly applies to Xilinx, when the postings are repeatedly from company resources which they have both the right and obligation to make sure are free of intimidation, harrassment, and abusive postings.

I don't like it ... and I can not see a better way to frame Peter's bullying than to present it clearly as it is ... VERY POOR XILINX CUSTOMER RELATIONS.

Reply to
fpga_toys

kha_vhdl asked this question multiple times before and asking for more details, e.g. what video format, was answered by him that he don't know it. This sounds a bit like a troll or a schoolboy, who wants to do a cool project, which is ok, but without some more information about the project, e.g. "I want to design a PCI card, which compresses video streams to the H.263 format" the best answer is

formatting link
and Peter's answer is justifiable.

--
Frank Buss, fb@frank-buss.de
http://www.frank-buss.de, http://www.it4-systems.de
Reply to
Frank Buss

fpga snipped-for-privacy@yahoo.com wrote on 12.05.2007 08:51:

I've been reading this list for quite a while and fully understand Peters outburst. First of all I do not understand his presence here as an official part of his job at Xilinx but as his personal decision to do so. The usual way to answer Xilinx specific problems and questions is via their webcase system. I really appreciate his (and others FPGA manufacturers employees) presence here as many questions are discussed here (see e.g. ISE, OSS discussion). Even if they would only read here most of the time it is good to know they are here and Your question/problem/suggestion is very probably noticed. I recently had a question as well and it was answered politely here and from a Xilinx FAE. Well, the problem could not be solved but I found out this way that:

- it is better to simulate a design than to "verify" ("" means: understand how my VHDL-"ish" code was interpreted...) generating an RTL schematic

- schematic generation of ISE is extremely sensitive (and got worse from

8.2 to 9.1) to badly written VHDL code. Although it might be convenient for a VHDL beginner like me, it often leads You in the wrong direction. I asked here and at Xilinx, *after* days of searching for a answer myself if the problem was at my code or at ISE. Bottomline of this is that I learned a lot (*I* had to work it out) and certainly will ask here again if I get stuck somewhere.

It seems to be en vogue for certain students, however, to ask others to do their homework. Honestly, we all cheated somehow during our study time to minimize workload. But I wouldn't have had the chuzpe to just copy-paste the homework description into a posting and even expect a polite answer. It is, at least, annoying if a new gmail account pops up every other day asking the same stupid question which was answered (politely) a few days ago.

Also frankly, this is ridiculous. I never saw an insulting answer from Peter (actually from noone here) to reasonably formulated questions for a well defined problem. It is this "make solution" attitude which pisses everybody off who tries to share his experience with others.

I am certainly just a marginal customer for Xilinx (having designed in 5 (!) Virtex-4 FPGAs for a prototype board I developed for a customer) but I never had the impression they would not want to make business with me. Lead times are an annoying but this is not Xilinx specific. I even had to redesign another board because a simple stupid ultra low RDSon MOSFET had a lead time of 44 weeks when we wanted to start series production (and we were talking about much more than five transistors there..).

Although I somehow doubt those specific individuals will read (let alone understand) it, it might be helpful to regularly post a very short group policy posting here. I remember times when these rules were considered common sense but this was before WWW (1989).

Just my 0.02$

Robert

Reply to
Robert Ganter

I just also want to say that I think John's objections are absolutely ridiculous. First of all, the question of the thread starter is utterly stupid and unanswerable. Second, Peter is certainly not posting here as a representative of Xilinx, we should rather be grateful (I know I am) that several chaps from Xilinx or other companies are here to provide us with information and help we otherwise would never be able to get. And there have been several times when I got pissed off with some Xilinx stuff, but customer support never was one of them. All of the FAEs I have interfered with until now have always extremely helpful, friendly and went out of there way to make sure my problem would get solved or at least an alternative solution found.

And I know for sure that in the future I won't be too scared to post here because I know how to actually phrase a question and I won't be super-trivial and/or idiotic things.

Cheers, Michael

Reply to
MNiegl

Seeing people post something like "Urgent: need help" to ask how to code some very vaguely defined things ranging from 2:1 muxes to stuff that sound nearly as complex as a flight controller is quite annoying - it makes it look like the "powerless newbie" is asking for help before even trying to understand the issue at hand.

Back when I was a lab tutor (introductory digital design classes - most students did not know what CPLDs, FPGAs and VHDL were on the first day), I would tell students that came up with such vague questions to ask again after they could reformulate their questions in a way that would clearly show they at least tried to understand the problem instead of letting them pull solutions out of me one vaguely defined bit at a time - at the very least, I wanted them to learn how to ask proper questions.

Newbieness is not an excuse for not showing any apparent effort before asking questions.

Reply to
Daniel S.

first of all , i apreciate your advices secondly i want tell you that i m alone for develpment using the hardware programming that it is why i cant get the right ideas and that it is why i m asking professionals to give me some help to get it more and more better thank you

Reply to
kha_vhdl

Granted ... nor is there any excuse being openly disrespectful in a reply to them. Just ignore them, or give a polite reply.

It's popular to excuse Peter's abuses because he does often provide useful information, which just brings the forum down. Either it's ok for Peter, Austin, and every other poster to be openly disrespectful in the forum, or no one. It's total BS to say the good guys have no bounds, and expect everyone else to be nice.

Reply to
fpga_toys

And yet you still haven't restated your question in a manner that can be answered.

You want an "ideal" FPGA to perform video compression.

The only way anyone can help is to understand what's important to you for this project.

1) Is the algorithm designed or net yet figured out? 2) Is cost more important than capability? 3) Is real-time compression a necessity or can you take a few hours? 4) Are you performing DSP-intensive applications? - Do you know the DSP capabilities of any FPGA - Do you know the DSP capabilities of leading-edge FPGAs? 5) Do you intend to use significant amounts of on-chip memory? 6) Can you supply any power needed by your design or are you limited? 7) Are you looking to design your own board or do you need a demo board? 8) Does your solution have to be compact or can you use multiple FPGAs? 9) How do you intend to interface to your system? PCIe? Ethernet? USB?

Power. Connectivity. Capability.

You've communicated nothing yet about what your needs are. All we know is that you want to "compress video."

Why use an FPGA at all? Your PC is perfectly capable.

Don't simply defend your "simple" question. Ask something that can get an answer.

Reply to
John_H

This is not the first time, or the last, that I will call Peter (and Austin, which is why he joined in they way he did) to task for being insulting, to even valid questions and others responses. Check a ways back, and you will find me objecting to Peter 's rude slaming a poster for using some chat/texting short hand. You will also find them rudely slamming me for bringing up power instability in early BG560 product. Check even further back an you will find many warnings to play nice in relatively polite responses to their abuse.

Their management told me that was unacceptable, and would not happen again. Yet it appears to continue, and they seem unconstrained at all, from their replies. Seems we need quite a bit more scorched earth ridicule from both sides before Xilinx managment will get a clue this not acceptable.

Austin was copied on those emails, and clearly knows why I complained then, and now. His cute little attempt to deflect it claiming ignorance was a joke, or his memory is fading fast ... as that was only last August.

Reply to
fpga_toys

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.