Hobbyist trying to decide which device to start with...

I've spent several hours browsing the Xilinx site (and not so much less the Altrera site), and I'm starting to get some idea of what all the different device families are.

However, after digging through numerous pdfs, it looks like everything is 3.3v and under. All of the cool stuff I'd like to try to do interfaces with old computers, vintage stuff.

How does one interface to TTL logic? Which devices can be used for that, and what does it take to safely hook them up to something that is 5v?

(Note: I realize that some/all of the Xilinx cpld's can do 5v, but I'm really wanting to play with something heftier.)

Reply to
john.m.oyler
Loading thread data ...

What do you need TTL logic for if you have an FPGA? What is it you are trying to build?

If you really need to interface to 5V logic you could use some of the level translation techniques/devices... Just google on level translation and you'll find a bunch of ideas....

/Mikhail

Reply to
MM

Hi John, Here's an example of one way of doing it.

formatting link
HTH., Syms.

Reply to
Symon

I'll start out with tutorial stuff, but my real goal is some type of accelerator for an old home computer. The fpga board would plug into the cpu socket, sitting between it and the cpu. Maybe implement some of the unused opcodes, have hardware fpu stuff. Still undecided on the specifics yet.

Thank you. The first few hits are all relevant. I have some learning to do now.

Reply to
john.m.oyler
3.3V I/O can drive TTL, no questions asked, for 5-V TTL's Vih min is 2.4 V The difficult issue is 5-V TTL driving the 3.3 V CMOS input. Most 5-V TTL only drives up to two diode drops below the rail, which nominally means 3.6 V. (But 5-V CMOS devices drive all the way to the rail, which poses a problem for you). There are worst-case assumption of the 5 V supply being high, while the 3.3 V supply is low, where things get less benign (5.5 - 1.4 = 4.1, which is 1.1 V above 3.0 V.) Just to give you some facts. Peter Alfke
Reply to
Peter Alfke

Rather than opt for a whole slew of buffers and associated real-estate, I opted to use a CPLD almost exclusively for 3.3-5V buffering. It's a trade-off between cost, real-estate and routing...

Regards,

--
Mark McDougall, Engineer
Virtual Logic Pty Ltd, 
21-25 King St, Rockdale, 2216
Ph: +612-9599-3255 Fax: +612-9599-3266
Reply to
Mark McDougall

People like yourself do have have problems using FPGA's in old system add-ons. Often modern FPGAs need multiple power rails and simply can't take directly 5V inputs. In response to some of these needs we have developed some products to help.

If you want an easy path to using a modern FPGA in an old style computer have a look at our Craignell modules

formatting link
These offer a Xilinx Spartan-3E FPGA module in a DIL standard format. We have made the module power input 5V (normal DIL position) and the I/ O 5V tolerant and pulled up to 5V to drive external CMOS level logics.

First batch of these has sold out but we have a second batch in manufacture and likely to be available in about 4 weeks time. We have added a new size in this second batch so we will have DIL28,32,36,40 versions and also coming is the derivative product Drigmorn1 which will be a development board rather than for the obsolete component replacement/easy build markets that are Craignell modules main targets.

Pricing on Craignells is from GBP=A335, US$70, 55=80 on one offs and we be offering versions with XC3S100E, XC3S500E and possibly XC3S250E FPGAs.

John Adair Enterpo> I've spent several hours browsing the Xilinx site (and not so much

Reply to
John Adair

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.