Hex display with Quartus simulation

Hi, If I have a port defined as std_logic_vector(n downto 0), Quartus simulator is showing the individual bits in binary values. How do I make it display all the bits together in a hex mode. Thanks in advance.

Pratip Mukherjee

Reply to
Pratip Mukherjee
Loading thread data ...

Use the waveform editor and arrange the individual bits in order, with MSB on top, LSB at the bottom. Click with the right button and use the Group command. It will ask for the name of the group and the radix with which to display it. Hope that helps.

- Subroto Datta Altera Corp.

simulator

Reply to
Subroto Datta

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.