Help w/ WARNING:Xst:1868

I'm seeing the following in my synthesis report in ISE 6.1: WARNING:Xst:1868

- You have explicitly defined initial contents for this RAM, which are currently ignored when the RAM is dual-port, leading to incorrect circuit behavior. What does this mean? Does it mean that initial values for dual port block ram is not supported?

Reply to
Ed Anuff
Loading thread data ...

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.