Hardware Multipliers with Virtex II

Hi,

I'am using Virtex II chips. In my design I have multiple multipliers, for what I want use Hardware Multipliers. For Synthese use I Precision from Mentor. To force using Hardware Multipliers I use attribute DEDICATED_MULT = ON.

When I Synthesis only my Sub Module I get result what I want. When I Synthesis design where my Sub module is instanced are my Hardware Multipliers gone and replaced with logic. Can anyone comment?

Cheers,

TPalm

Reply to
Tarmo Palm
Loading thread data ...

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.