fpgadbg - a free & open source tool for FPGA debugging

Hi All,

I have put a first, quick&dirty version of my "fpgadbg" tool on the website:

formatting link

This tool allows to record the signals inside of FPGA, and then read the recorded data e.g. via the VME or other interface.

The recorded data may be then converted into the LXT file, which may be browsed and analysed with the gtkwave viewer.

--
Regards, 
Wojtek Zabolotny
wzab@ise.pw.edu.pl
Reply to
Wojciech Zabolotny
Loading thread data ...

Hi All, I've put a new, a little more polished version of the fpgadbg (ver. 1.1) on the website

formatting link
. The new version contains fpgadbg_uart - a wrapper allowing to debug via the serial UART interface. There is also a very simple demo, allowing to use fpgadbg with the Spartan

3 Starter Board.

I hope someone will find it useful.

--
Regards,
Wojtek Zabolotny
Reply to
Wojciech Zabolotny

Wojtek -

Thank you for the contribution. I don't have use for it right now, but I'll file your link away for possible future use.

Rob

Reply to
RobJ

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.