File I/O with Synplify

Hi,

I'm trying to read in data from a .mif file to initialise some memory elements in Synplify 7.6.1, but it fails when it encounters the line

FILE initfile : TEXT;

with the error "Expecting type name".

Does Synplify support file read/write (I've Googled and looked at the Synplify documentation and website to no avail)?

I should say that I've included the std library and the textio package as well. XST has no problems reading or writing files.

Cheers,

Andrew

Reply to
Andrew Whyte
Loading thread data ...

A .mif file is specific to X devices.

Synplify can synthesize variable or constant arrays into ram or rom using only vhdl source code for any fpga.

Vendor-specific download widgets are handled with vendor attributes in the code like this:

formatting link

But consider maintaining vendor-independent code. That's a common reason for using Synplify over XST in the first place.

-- Mike Treseler

Reply to
Mike Treseler

Thanks for your reply Mike. Ideally I'd like to read any kind of memory configuration file into a design with Synplify - doesn't have to be Xilinx-specific formats.

With XST, what I can do is read in a .mif file and then parse the data to create init values for my memory elements. Synplify does not appear to able to do the file read.

Any more ideas?

Andrew

Mike Treseler wrote:

Reply to
Andrew Whyte

This subject has been discussed on comp.lang.vhdl

formatting link

-- Mike Treseler

Reply to
Mike Treseler

Have your program/script create a package file with a constant table and include it in your probject. You can then "use" the package in your architecture and directly use the constant table.

Andrew Whyte wrote:

Reply to
Ken McElvain

Synplify projects are in fact Tcl scripts. So you might write a MIF parser in Tcl (easy) and write down a synthesizable vhdl Rom table (entity). I did this in C for a DDFS. Probably free on my website.

Bert Cuzeau

Reply to
info_

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.