Error Message when using process with wait-statement in testbench

Dear Sir or Madame,

when I try to compile a testbench including the following process I get the following error message: "Error: VHDL Wait Statement error at tb_reservoir_positions.vhd(55): Wait Statement must contain condition clause with UNTIL keyword."

process begin t_reset

Reply to
Vazquez
Loading thread data ...

functional

The problem is that the wait is unconditional. Even if the code were legal, you would never get past the first loop of the process at 0 nS.

-- Mike Treseler

Reply to
Mike Treseler

Hi, so how can I write a process (within a testbench!) for a reset signal which should be asynchronous?

Best regards Andrés Vázquez G&D

functional

Reply to
Vazquez

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.