EDK: Using DCR bus on ML310-based project

Hi,

I'm working with the ML310 eval board. Is there anyone who has a working example on how to create a custom IP on the DCR bus (and accessing it from the PPC405)?

Thanks.

Reply to
my.king
Loading thread data ...

I did it! It is quite easy. Take a look at Xilinx PLBtoOPB bridge core or similar IP with DCR bus.

Cheers,

Guru

Reply to
Guru

I have a similar problem, my way is to take a look at the GSRD desing from xilinx. There is an intc core which implements a interface between logic and ppc over the DCR.

Regards,

Eric

Guru schrieb:

Reply to
eric

Reply to
my.king

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.