Difference in output between testbench and chipscope

Hi all, I made a small fifo module to do some testing.

code:

formatting link

I first did post implementation simulation using modelsim and the output was as expected.

simulation output:

formatting link

Then I probed into chip with chipscope analyzer. The values were different. I am not sure what my mistake is. The trigger port was data_in_reg[7:0]. Am I looking at some wrong values instead?

Chipscope Analyzer Waveform:

formatting link

Thanks for any help.

- Subhasri.K

Reply to
Subhasri krishnan
Loading thread data ...

Hi Subhasri,

are the fifo write data generated internally or are they external data ?

Rgds Andr=E9

Reply to
ALuPin

Hi, The fifo write data is generated internally.

module FifoTest(clk, data_out, d_in);

input clk; output [7:0] data_out; output [7:0] d_in;

wire wr_en; wire rd_en; wire [7:0] d_in; wire [7:0] data_out; reg rd_en_reg; reg [7:0] data_in_reg;

//Wires tied to values wire reset =3D 1'b0; assign wr_en =3D (reset) ? 1'b0 : 1'b1; assign rd_en =3D rd_en_reg;

// The following must be inserted into your Verilog file for this // core to be instantiated. Change the instance name and port connections // (in parentheses) to your own signal names.

fifo_8bit_32deep Sync_Fifo ( .clk(clk), .sinit(reset), .din(d_in), .wr_en(wr_en), .rd_en(rd_en), .dout(data_out) );

//Generate Data assign d_in =3D data_in_reg; always @ (posedge clk) if(reset) data_in_reg

Reply to
Subhasri krishnan

fifo_8bit_32deep Sync_Fifo (

You connect d_in to the input of the FIFO and at the same time you define it as OUTPUT of your whole module ?

Rgds Andr=E9

Reply to
ALuPin

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.