Connecting BRAM block to Self designed BRAM controller

Hi all,

I am using XPS 8.2i and ISE 8.2i and a virtex-4 board.As a part of assignment, I have to write a verilog/vhdl code for a BRAM controller by which we can perform read/write operation on a BRAM block.I have written a desired verilog code for BRAM controller. Also I have added a Bram block to my assembly from pool.But My System assembly does not show any port in my BRAM controller to which I can connect the BRAM block.

Any suggestions would be greatly appreciated

thanks Rinky .

Reply to
rinky.singh.86
Loading thread data ...

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.