Configuration vhdl

Hi All, I need help regarding use of configuration in vhdl. In my design, one entity has got multiple architectures. Through Configuration I am trying to bind a partcular architecture. But it seems that it always taking the last compiled architecture and doing default binding. I am using cadence NCSIM SIMULATOR. where the problem might be? If anyone has used Ncvhdl/ncelab can you tell me whether I need to set a flag for using this configuration?                          Sudip Saha.

Reply to
Sudip Saha
Loading thread data ...

With modelsim, you have to invoke the configuration by name, and not mention the entity/architecture names directly. The configuration must be compiled into the same directory.

-- Mike Treseler

Reply to
Mike Treseler

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.