Hello Guys,
I am using the SOPC builder to design a simple system that integrates a couple of UARTS, a soft processor and a VHDL blackbox (Connected internally in user design logic). I export a 8-bit wide signal which are connected to LEDs on-board from the blackbox. I am using the Nios Development Board Pro Edition. My VHDL code is as follows: Libraries blah blah... entity count is port( clk: in std_logic; write_con: in std_logic; chipsel: in std_logic; write_data: in std_logic_vector(1 downto 0); export dataout: out std_logic_vector(7 downto 0)); end count;
architecture RTL of count is
signal counter: std_logic_vector(7 downto 0); signal write_data_d: std_logic_vector(1 downto 0); signal resetn_d: std_logic; signal control: std_logic;
begin
control