Bypass Xilinx flexlm license check

please disregard the previous message since for a threading issue I thought I was replying to the OP. My apologies, I'll reply to your message separately.

Al

Reply to
alb
Loading thread data ...

If I find a weakness in somebody's safe I'd tell him. It would be up to him to take the counter measures to make it safer.

When it comes to security, the tipical approach is to reveal the bug once is *fixed* in order not to expose the affected victim to a massive attack. If you found a breach, by chance or because of your skills or job, you should report it to Xilinx before the breach can be exploited further.

I do not think that forging licenses, cracking software and stealing artwork is ethical, but, as somebody pointed out earlier, ethics may vary according to culture/beliefs/social environment. I am certainly sure that those actions are *illegal*, more or less everywhere.

Al

Reply to
alb

I can't remember the exact context, but I recall a landmark case (possibly in Europe) where the user was not able to copy or use licensed software for some reason. The company was not able to help, possibly because it was out of business or maybe the product was no longer supported. The person cracked the key and used or copied the product as he was otherwise allowed to do. Somehow this ended up in the courts and they upheld his right to do what was needed in the way of reverse engineering and work around to use the legally obtained product.

In the US there is a law specifically making it a crime to break "encryption" on any product. It can be the most simple of cyphers but if you break the cypher, you can go to jail, no matter if there was any gain or even if the product was used improperly in any way. Pretty bizarre if you ask me.

--

Rick
Reply to
rickman

Finnish copyright law explicitly allows you to make modifications to legally obtained computer software that are necessary to make it work for its intended purpose. The legislation also explicitly states that the prohibitions on circumventing effective technological copy protection measures are not applied to computer software.

-a

Reply to
Anders.Montonen

Hello alb,

they already know that for years, believe me. IP cores are not their business. They're selling FPGAs. OTOH looking at the source code is sometimes useful.

Reply to
Tomas D.

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.