Block vs. Distributed RAMs

ISE always seems to infer distributed RAM for async. reads.

Is it possible to infer distributed RAM with sync read? Is is possible to infer/instantiate block RAM with async read?

I got ISE ver 6.

Rgds

Reply to
bhatti1127
Loading thread data ...

Yes, just register the output ... (works for me). And if it's small, it will use a distributed No, brams are synchronous ... (input registred. On virtex4, you can also register outputs)

Reply to
Sylvain Munaut

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.