AWGN in VHDL

Hi guys,

Does anybody have any idea or any link or code for Additive White Gaussian Noise in VHDL ? Or any body have written it or not ?

Also how to generate Random Number's in VHDL?

Thanks

Rgds

Macie

Reply to
MACEI'S
Loading thread data ...

For random numbers try this package:

formatting link

MPJB

Reply to
MPJB

Hi,

Sometimes back I had posted the same query on Random Number Generator(RNG).I am reproducing one of the responses that I received , courtesy, Michael Chan.Hope this helps.

" General linear feedback shift register based RNGs are probably the easiest to implement on an FPGA. Below is some code I wrote the other day that generates 32-bit random numbers(based on TT800 RNG).

************************************************************************

library IEEE; use IEEE.STD_LOGIC_1164.all; entity LFSR is port( Clk : in STD_LOGIC; D : in STD_LOGIC; Q : out STD_LOGIC; F : out STD_LOGIC ); end LFSR;

architecture LFSR of LFSR is signal SR : STD_LOGIC_VECTOR (25 downto 1); begin process (Clk) begin if Clk'event and Clk = '1' then SR

Reply to
jaideep

--

--Ray Andraka, P.E. President, the Andraka Consulting Group, Inc.

401/884-7930 Fax 401/884-7950 email snipped-for-privacy@andraka.com
formatting link

"They that give up essential liberty to obtain a little temporary safety deserve neither liberty nor safety." -Benjamin Franklin, 1759

Reply to
Ray Andraka
022DC0C71B68D4FA5FCD0516 Content-Type: text/plain; charset=us-ascii Content-Transfer-Encoding: 7bit

Macie,

Xilinx offers an AWGN core that includes the VHDL source code. See the following link for further details:

formatting link

Regards,

Ed Hemphill

MACEI'S wrote:

Reply to
Ed Hemphill

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.