Altera QII WE Tutorials

Looking for some help/advice in using Altera QII-WE. I am an electronics student working with MSI & SSI 7400LS chip sets.My current school places no empahsis on PLD`s at all. I am changing schools to one which uses the quartus system and would like to get up-to-speed before starting. I would like some links or information to help bridge the gap between the two styles of learning. I have looked at the Altera tutorial, but I was hoping someone may have some other introductory training material available. Thanks, Dan.

Reply to
farnel
Loading thread data ...

You could try running, then change, the application notes at

formatting link
formatting link
formatting link

Altera, besides VHDL & Verilog, Altera also have their own Boolean entry language called AHDL, and that is easier to learn for simple applications, and migration to PLDs from SSI/MSI background.

-jg

Reply to
Jim Granville

Hi Dan,

Not sure if you've tried this one already, but in case you haven't, there is a good tutorial that ships with Quartus. Look in Help -> PDF Tutorials -> PDF Tutorial for VHDL (or the Verilog version if you prefer).

You may also want to check out the on-line demos at

formatting link

Regards,

Vaughn Altera [v b e t z (at) altera.com]

Reply to
Vaughn Betz

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.