FPGA - symulacja "analogowa"

Witam ,

Problem jest taki. Po pewnych rachunkach , z siana cyfrowego dane są podawane na DAC. No i chciałbym to zweryfikować jednym rzutem oka (XILINX ISE-12.1 , Multisim). Da się to jakoś zrobić ?? Albo chociaż zapisać na twardzielu kolejne dane DAC[N:0] w jakimś tam formacie , to se chociaż jakiś soft sam napiszę. Czy muszę iść do Biedronki po papier milimetrowy i siedzieć do Pani Nędzy nad tym pół dnia ?? Macie jakieś pomysły ??

MH

Reply to
MH
Loading thread data ...

W dniu 10.04.2011 21:22, MH pisze:

Problem jest taki, że kompletnie nie można zrozumieć Twojej wypowiedzi. Jeszcze raz, spokojni i po kolei - co chcesz osiągnąć i jaki masz z tym problem?

Reply to
Michoo

Michoo <michoo snipped-for-privacy@vp.pl napisał(a):

==============

No to jeszcze raz "step by step" :

1) Wyobraż sobie , że mam zaimplementowany w FPGA licznik binarny N-bitowy. Mamy jakiś tam zegarek , który go napędzna.. N-bitów wyjściowych licznika , "napędza" przetwornik DAC z jakimś tam napięciem referencyjnym.. Co zobaczysz na oscyloskopie , oglądając wyjście DAC ?? Przebieg dodatni piłokształtny .. LOGICZNE ??

- JAK TO GRAFICZNIE "ANALOGOWO" POKAZAĆ NA MULTISYMIE ??

2) A teraz wyobraź sobie , że moja cyfrówa powinna robić na wejście DAC'a trochę bardziej 'pokitrany' sygnał. No i chciałbym to zweryfikować "analogowo". Ot, jednym rzutem oka..

3) Odczytywać dane wyjściowe (numeryczne) z symulatora , skalowć je a następnie przez cały dzień robić wykres na milimetrowym , i analizować czy ma to sens ?? Nie rozumiesz tego ???

MH

Reply to
MH

W dniu 11.04.2011 00:54, MH pisze:

W multisimie nie wiem, w modelsimie można wybrać 'bus' i kazać przedstawić jako analog - może u Cibie też jest taka opcja?

Zapis do plików jest zdaje się częścią standardu VHDL. Możesz z poziomu testbench-a wygenerować ładne wyjście tekstowe z którego potem nakarmisz excela, gnuplota, czy co tam masz pod ręką.

Nie ma kompletnie sensu - od wielu lat mamy komputery.

Reply to
Michoo

Michoo <michoo snipped-for-privacy@vp.pl napisał(a):

================

Ooopss !! Chyba wiem , gdzie się nie rozumiemy.. O co chodzi , wyobraż sobie , że mam licznik 8-bitowy , który "kręci się w kólko" , napędzany jakimś tam zegarkiem. Jeżeli wyjścia licznika podepniemy do DAC'a , to na oscyloskopie zobaczysz co ??? Ano przebieg piłokształtny dodatni. Chyba jasne ...

Załóżmy , że jesteśmy takimi jełopami , że nie jesteśmy w stanie tego wydedukować.. Nie umiemy wyników symulacji cyfrowej z Multisima przerobić na DAC'a , bądź z czystego lenistwa jest to dupnym problemem (raczej to drugie).

No a teraz wyobraź sobie , że zamiasta licznika kręcącego się w kółko , którego wyjścia po każdym kliknięciu zegara są inkrementowany o CośTam , moje siano cyfrowe wykonuje jakieś tam rachunki , których wykres w dziedzinie czasu mogę zweryfikować pod względem poprawności jednym rzutem oka.

Lepiej jednak , dokonać wstępnej analizy analogowo-cyfrowej (graficznym rzutem oka).

Stąd jeszcze raz pytanie : jak np. zrobić symulację w Multisime , gdzie sygnał wejściowy zmieniający się czasowo w zakresie (liniowow dziedzinie czasu)

0..255 , będzie liniowo narastał ?!

Bądź niechaj będzie to dowolna funkcja w dziedzinie czasu....

Noszsz ..rva , już prościej wyjaśnić nie umiem !!

MH

Reply to
MH

===============

Faktycznie , dzięki za konstruktywną dyskusję.. Następnym razem , pogadam sę z komputerem.. Przynajmniej się nie "WYMONDRZA" !!

MH

Reply to
MH

U¿ytkownik " MH" snipped-for-privacy@WYTNIJ.gazeta.pl> napisa³ w wiadomo¶ci news:intf10$sak$ snipped-for-privacy@inews.gazeta.pl...

Troche inwencji.

Pierwszy link na "VHDL writing files":

formatting link
Wystarczaj±co konstruktywne ?

GP

Reply to
Grzegorz Plywacz

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.