Eliminacja czasu martwego częstościomierza TTL - bramk

Typowy układ bramowania w mierniku częstotliwości to przy rozdzielczości 1Hz czas otwarcia bramki 1 sek. i kolejna sekunda to martwy czas, gdzie bramka oczekuje na kolejny stan H otwierający ją na kolejną 1 sekundę. Czyli wzorcowe 1Hz jest podzielone przerzutnikiem przez 2, otrzymujemy przebieg

0,5Hz o wypełnieniu dokładnie 50%. W przypadku chęci uzyskania rozdzielczości 0,1Hz bramka otwierana jest na 10sek i potem czas martwy to 10sek, w których nic sensownego dla pomiaru się nie dzieje, a tylko zmniejsza ilość odczytów na minutę. Chciałem zaczerpnąć info jakie macie sensowne, inne, precyzyjne rozwiązanie aby ten martwy czas skrócić do minimum, a na wyjściu układu mieć dalej precyzyjne impulsy otwierające bramkę na 10sek, o dokładności wzorca miernika. W moich gdybaniach, na podstawie książki Marka Stabrowskiego "Miernictwo elektryczne cyfrowa technika pomiarowa" i opisanej tam idei wydedukowałem układ gdzie ostatnia "dekada" dzieląca zegar miernika i sterowanie bramką (czyli ta co z 1Hz ma zrobić 0,1Hz) została wykonana na liczniku 193 i przerzutniku JK 112 (wyjście Q przerzutnika otwiera bramkę), który to licznik liczy wstecz od wpisanego stanu "11" (wejściem ładującym stany z wejść ustawiających A,B,C,D), pierwszy impuls (po załadowaniu "11") zegarowy wzorcowego 1Hz zmieniejsza stan licznika do "10", otwiera w tym momencie bramkę (zboczem opadającym przez zmianę na wyjściu Q 112 stanu na H), która zamknie się wraz ze zboczem opadającym dziesiątego impulsu. Zamknięcie bramki odbywa się ujemnym impulsem przeniesienia z wyjścia licznika P- (szpilka ~30ns), który jednocześnie ładuje od nowa stan "11" dzielnikowi za pomocą wejścia ładowania L. Zamknięcie bramki = szpilka przeniesienia podana jest na wejście -CLR 112. Nadchodzi teraz kolejny impuls zegarowy 1Hz, który wraz ze zboczem opadającym rozpocznie od nowa proces otwarcia bramki na 10sek. Martwy czas został więc tutaj ograniczony do 1sek przy bramkowaniu 10sek. Można to jakoś inaczej, prościej rozwiązać? (bezprocesorowo, na piechotę na TTL). Mowa o typowym mierniku zrobionym na "piechotę" na TTL-kach 7490.
Reply to
jedrek
Loading thread data ...

Użytkownik "jedrek" <jedrek@jedrek> napisał w wiadomości news:5532ae89$0$60823$b1db1813$ snipped-for-privacy@news.astraweb.com... ...

O ile mnie pamięć nie myli, układ ten, 7490, ma dwie sekcje, jedna dzieli przez 5, druga przez 2. Ich zamiana miejscami w szeregu zmieni ci współczynnik wypełnienia (bazuję na oscylogramach z literatury) z 1:1, na 1:4, lub odwrotnie.

Reply to
ACMM-033

W dniu 2015-04-18 o 21:20, jedrek pisze:

  1. Przerzutnik monostabilny
  2. Licznik synchroniczny na paru dekadach i wyjście przeniesienia.
  3. Można tez kombinować z 7490, ale idea jak w p. 2., tzn robisz na bramkach dekoder konkretnego (jednego) stanu całego licznika, nie ostatniej dekady. Tylko na synchronicznym to na pewno bedzie lepiej działało.

Pozdrawiam

DD

Reply to
Dariusz Dorochowicz

"ACMM-033"

Jest to oczywiste i tak jest to stosowane domyślnie w wielu miernikach z epoki TTL. Jako dekady liczące 7490 pracują w kodzie BCD 8421, a jako dzielniki zegara każda dekada ma połączenie najpierw modulo 5 a potem modulo 2 - i na wyjściu każdej dekady jest zawsze 1/2 wypełnienie. Nie wpływa to jednak na czas martwy, bo i tak z tego układu był sterowany przerzutnik 7474 który tworzył z 0,1Hz falę 0,05Hz. I dalej był czas martwy 10sek. W miernikach Zopan w dekadach dzielących zegar wykorzystano wejścia ustawiające nóżki 6, 7 licznika 7490 gdzie impuls kasujący stan dekad liczących przed kolejnym otwarciem bramki liczącej, jednocześnie ustawiał dekady dzielące zegar w stan 1001 czyli 9 - tak więc najbliższy impuls zegarowy ustawiał liczniki na 0 i zaczynał się nowy pomiar. Czyli metoda zgodna z tym co opisał Marek Stabrowski w książce "Miernictwo elektryczne cyfrowa technika pomiarowa" na str. 59. Wówczas dla bramki 10 sekund oczekiwanie (czas martwy) na kolejny, nowy pomiar - jeżeli ustawieniu na 9, przed pomiare poddano każdy kolejny dzielnik 7490 zegara - wyniesie tylko 1us - jeżeli zegarem był takt 1MHz. Bez ustawienia 9 będzie się czekać po pomiarze kolejne 10 sekund po nic.

Układ działa świetnie w samym mierniku. Jednak teraz trzeba podobną czynność wykonać dla podawanego z zewnątrz sygnału 1pps z GPS-a. Trzeba uzyskać takt

0,1Hz ale bez czasu martwego 10s aby móc sobie częstościomierzem mierzyć czas trwania impulsu czyli jedynki logicznej 10s - aby mieć rozdzielczość odczytu 0,1Hz. W najprostszym układzie wstawiam dekadę 7490, na wyjściu uzyskuję falę 0,1Hz i mam jeden pomiar na 20 sekund, a docelowo chcę mieć 1 pomiar na 11 sekund. Póki co jedyne sensowane rozwiązanie (bez wydłubywania na zewnątrz z częstościomerza sygnałów ustawiająco - zerujących) jest właśnie układ, który opisałem na początku bazujący na schemacie zamieszczonym na stronie 150 w książce Włodzimierza Sasala "Układy Scalone UCA64/UCY74" WKŁ 1990. Zakładałem, że da się to rozwiązać prościej, ale póki co wynika, że kończymy na opisanym na początku układzie.
Reply to
jedrek

"Dariusz Dorochowicz"

Uzyskaj na wyjściu typowego monostabilnego z układem RC precyzyjne impulsy

10,000000 sek... kosmos! To ma być impuls wzorcowy jako baza do pomiaru okresu. Tym impulsem kalibruję wewnętrzny generator kwarcowy miernika.

Przeniesienie już wykorzystuję w swoim zaproponowanym układzie na 74193. Więc na to samo wychodzi.

Właśnie kombinuję coś z przerzutnikiem aby zbocze opadające na wyjściu Qd

7490 (kończące cykl liczenia do 10) wygenerowało mi dodatni impuls ustawiający - przed nadejściem kolejnego taktu 1Hz - stan licznika na 9, aby ten nadchodzący takt spowodował początek generowania impulsu 10sek na wyjściu tego 7490 - bez oczekiwania 10 sek czasu martwego.
Reply to
jedrek

W dniu 2015-04-20 o 12:34, jedrek pisze:

No tak, myślałem tylko o impulsie wyzwalającym ;) Przerzutnikiem ustalasz na jak długo ma być reset licznika (przerwa w liczeniu), a licznikiem wyzwalasz monostabla. Albo jakoś tak.

To co w końcu masz mieć? Co chcesz osiągnąć? Jaki dokładnie przebieg byłby najlepszy?

Tylko wyjścia przeniesienia można używać na różne sposoby. Nie wiem jaki układ tam masz użyty, ale w najlepszej konfiguracji masz jeden takt zegara wejściowego (całego licznika, nie jednej dekady).

Z takimi licznikami to jest kłopot, bo trochę czasu upływa zanim się sygnał przedostanie na ostatni przerzutnik. 192/193 jest pod tym względem znacznie lepszy. No i daje więcej możliwości - np możliwość ustawienia stanu początkowego. Nie chce mi się teraz na to patrzeć, ale jest jeszcze 162 czy coś koło tego... Według mnie masz możliwość wygenerowania impulsu z odstępem jednego taktu zegara wejściowego. Jak za krótko, to co kolejne dekady.

Pozdrawiam

DD

Reply to
Dariusz Dorochowicz

"Dariusz Dorochowicz"

Opisałem wyżej. Formowanie wzorcowego impulsu z sygnału 1PPS (wydłubanego z odbiornika GPS), którego zmierzę sobie okres (a właściwie 1/2 okresu po przeróbce). Gdy wyjściowy 1PPS podam sobie na wejście miernika to mierzę sobie jego okres i dostaję wynik 1000000,0us gdy go przedzielę przez 10 dostaję wynik

10000000,0us (jedynki już nie widzę, bo 8 dekad liczących) lub 9999999,9us :) i można kalibrować GWM-a ale ten odczyt raz na 20 sek za wolno. Po przeróbce kolejny odczyt zaczyna cię co 11sek. Póki co stwierdzam, że generator w mierniku wypasiony po ponad 30 latach od wyprodukowania odchyłka 0,5Hz przy 10MHz.
Reply to
jedrek

W dniu 2015-04-20 o 14:09, jedrek pisze:

Z czego 1s odstępu i 10s na pomiar?

Żeby mieć 0.1Hz dokładności to raczej musisz 10 sekund mierzyć - nijak nie wychodzi inaczej. Tzn dałoby się użyć PLL/FLL i sobie przeliczać, ale tu już się kłania wiarygodność tego co dostaniesz na wyjściu pętli, jej stabilność itd. Sam czas stabilizacji pętli może być na tyle duży, że nic na tym nie zyskasz.

Z 1Hz to za bardzo nie powalczysz. Myślałem, że dostajesz 1MHz albo coś koło tego i to dzielisz.

Kiedyś to się robiło inaczej ;) Ale też inaczej wszystko kosztowało.

Pozdrawiam

DD

Reply to
Dariusz Dorochowicz

Użytkownik "jedrek" napisał w wiadomości

A bufory licznikow masz, czy widac jak liczy, potem sie wynik zatrzymuje na sekunde ?

Bo ogolnie to trzeba zrobic tak: a) zamknac bramke, b) przepisac licznik do bufora, c) wyzerowac licznik, d) otworzyc bramke.

To sie daje zrobic bardzo szybko (~100ns), tylko jest problem z ukladem generujacym odpowiednia sekwencje krotkich impulsow. No a jak sekwencja trwa zbyt dlugo, to wypadaloby wyzerowac liczniki liczace pomiarowa sekunde czy tam 10s.

Musi byc na TTL ? Na FPGA byloby latwiej :-)

I to jest jest prawidlowe rozwiazanie, choc nie jedyne. Krotkie impulsy mozna odmierzac np 74123.

Prosciej to niekoniecznie, ale moze lepiej - czemu czs martwy ma byc

1s, nie moze byc 0.1s, czy 0.01s - a to tylko kwestia ile tam dekad i czy wpiszesz 11, 101, 1001 :-)

J.

Reply to
J.F.

"Dariusz Dorochowicz"

Otóż to.

Tak właśnie jest czynione. Ponieważ miernik, stary poczciwy Zopan KZ2026 posiada możliwość pomiaru okresu (bo niektóe mierniki to tylko częstościomierze i okresu nimi nie pomierzymy i wtedy ciężko to kalibrować przez goły 1PPS) to mi nie są potrzebne inne ustrojstwa, które mi będą tworzyć na podstawie taktu 1PPS jakieś tam wzorce 10MHz i pochodne - tak zrobił tu jeden człowiek:

formatting link
ąc na publikacji:
formatting link
Bez dodatkowych pętli PLL z długim czasem, uśredniających ewentualną niestabilność taktu 1PPS z odbiornika GPS uzyskuję dokładność 0,2Hz Odbiornik FGPMMOPA6C
formatting link
gwarantuje stałość taktu +/-10ns Co w najgorszym wypadku daje błąd na poziomie 0,2Hz. Każdy kolejny odczyt trzyma wynika jak skała, nie widzę pływania, skakania. Faktycznie jest ten takt dużo stabilniejszy niż ze starego NovAtel SuperStar

  1. Zauważyłem, że nowszy odbiornik w ogóle dwa swoje zbocza impulsu 1Hz ma równie stabilne. Przy NovAtelu po przełączeniu na wyzwalanie miernika zboczem opadającym było widać gołym okiem pływanie kolejnych wyników o kilka Hz. O takiej stabilności mogłem pomarzyć gdy próbowałem wykorzystać nośną Wawy 1
225kHz na falach długich z Solca. Wzorzec dobry jak ktoś mieszka kilkadziesiąt km od Solca :) W najlepszym wypadku miałem skakania wyników o 2-3Hz przy pomiarze 1MHz (za dużo śmieci w miejskim eterze). A na GPS skoczy sporadycznie 0,1Hz (raz na kilkanaście odczytów) dla 10MHz czyli o dwa rzędy lepsza stabilność wyjściowego sygnału.
Reply to
jedrek

"J.F."

Oczywiście, że są :) W zależności od potrzeby włączasz lub wyłączasz i są przeźroczyste - typowe zatrzaski 7475.

Aż taki martwy czas nie jest dla mnie wymagany. Dla brami 1s wystarczy 0,1s a dla 10s nie obraże się o 1s. Impuls przepisania do zatrzasku i zerowania liczników generowany jest na dwóch 74123.

Moja wiedza na razie zatrzymała się na TTL i nie mam dobrego nauczyciela aby łyknąć FPGA :) A nieraz przeczytanie samej książki bez przeprowadzenia za rączkę jest takie mdłe, że człowiek po 10 kartkach lektury nie ma ochoty dalej w to brnąć - odpuszcza, bo go nie ma kto, co motywować.

Reply to
jedrek

przeprowadzenia za

A nie lepiej wybrać mikrokobtroler jako coś pośredniego między ttl a fpga? Kiedyś nieznając mcu budowałem układy z ttl, zupełnie niepotrzebnie, teraz jednym mcu zastępuję to, co kiedyś relizowałem z wykorzystaniem kilkunastu ttl, nie wspominając o takich układach, które ttlami się nawet nie da praktycznie zrobić.

Reply to
Marek

W dniu 20.04.2015 o 22:31, Marek pisze:

MCU jako front-end do poważnego częstościomierza raczej słabo się nadaje.

Reply to
butek

W dniu 2015-04-20 o 17:06, jedrek pisze:

Teoretycznie dałoby się jeszcze tę sekundę odjąć godząc się na pogorszenie dokładności przy użyciu monostabla do wytworzenia bardzo krótkiego impulsu, ale według mnie zysk w czasie nie zrekompensuje zmniejszenia dokładności. Impuls musiałby być na tyle długi, żeby miernik go zauważył i tylko tyle. Być może mógłby to być impuls zerujący licznik (nie sygnał przeniesienia). Lepszy wynik mógłbyś uzyskać tylko gdybyś mógł podać impuls startowy do odbiornika, ale takiej możliwości pewnie nie masz.

Pozdrawiam

DD

Reply to
Dariusz Dorochowicz

Użytkownik "jedrek" <jedrek@jedrek> napisał w wiadomości news:553517a2$0$28602$b1db1813$ snipped-for-privacy@news.astraweb.com...

Jak robiłem sobie częstościomierz na TTL-ach (serii UCY) to zamiast 8 zatrzasków 4 bitowych i 4 dekoderów 8 na 1 (wyświetlanie multipleksowe) dałem 4 rejestry szeregowe (równoległy wpis 8 bitów). Zapętlenie rejestrów pozwalało uzyskać sygnał dla kolejnych cyfr wyświetlacza. Każdy scalak wtedy brał około 20mA (nie było HC) więc użycie 5 scalaków (układ sterujący musiał mieć o jakiś jeden z przerzutnikami JK więcej) zamiast 12 dawało znaczące zmniejszenie poboru prądu. Pamiętam, że zrobiłem jakiś błąd logiczny w układzie sterującym i wyświetlacz pokazywał albo dobry wynik, albo przesunięty o 1 cyfrę - zależało to od momentu w którym nacisnęło się przełącznik zmiany zakresu - prawdopodobieństwo dobrego wyniku było 7/8. Jakoś to poprawiłem jedynie zmieniając połączenia między już użytymi scalakami. P.G.

Reply to
Piotr Gałka

Użytkownik "jedrek" <jedrek@jedrek> napisał w wiadomości news:553515fc$0$28596$b1db1813$ snipped-for-privacy@news.astraweb.com...

Nigdy nie próbowałem. Przypuszczałbym, że samo istnienie modulacja AM już utrudnia dokładne wyłapanie przejść przez 0. Użyłem kiedyś jako wzorca nośnej DCF wstrzeliwując się odpowiednio z obserwacją zboczy aby modulacja mi nie przeszkadzała. P.G.

Reply to
Piotr Gałka

użytkownik Marek napisał:

Pewnie lepiej:

formatting link
ą jeszcze lepsze, ale 10x bardziej rozbudowane.

Tylko problem dalej pozostaje, jak ustawić rezonator na właściwą częstotliwość nie mając wzorca albo innego miernika:)

Reply to
szklanynocnik

Użytkownik "Piotr Gałka" napisał w wiadomości grup dyskusyjnych:mh5022$hjl$ snipped-for-privacy@srv.chmurka.net... Użytkownik "jedrek" <jedrek@jedrek> napisał w wiadomości

teoretycznie nie - akurat przejsc przez zero to nie dotyczy. One sa przestawiane przez wszelkie inne zaklocenia :-)

Tak czy inaczej - to sie raczej robi inaczej, lokalny generator, petla fazowa, jest stabilnie.

W dodatku - Wawa 1 ma jakies dodatkowe modulacje fazowe.

J.

Reply to
J.F.

A chcesz miec taki czestosciomierz ? Bo to jest bardzo dobry projekt na start ... i zawsze mozna poprawic projekt, jak sie okaze ze cos zle dziala :-)

Czestotliwosc rzedu 10 MHz i w dodatku dokladnie ? To juz nie kazdy.

J.

Reply to
J.F.

Uwaga była natury ogólnej a nie stricte w związku z f-miarką.

Reply to
Marek

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.