ilorazujący

Pytanie jak w temacie - da się w miarę prosto zrobić układ dający na wyjściu napięcie proporcjonalne do stosunku dwóch napięć? Zakres dynamiki sygnałów wejściowych rzędu 40dB. Kombinowałęm trochę z różnymi układami, ale nie chciało stabilnie pracować.

Reply to
Tomasz Szcześniak
Loading thread data ...

Użytkownik "TomaszSzcześniak" napisał w wiadomości grup dyskusyjnych: snipped-for-privacy@tomek.dom...

Uwaga - wynik moze siegnac 80dB. Chyba, ze napiecia jakies wspolbiezne bardziej.

Nie chcesz cyfrowo ? Bo teoretycznie sie da, a w praktyce to juz wiesz.

Uklady mnozace chyba jeszcze produkuja, zapiąć w petle sprzezenia wzmacniacza mozna.

Albo bawic sie w logarytmy na diodach ... sa chyba i gotowe scalaki do logarytmow.

Szybko musi byc ?

J.

Reply to
J.F.

W dniu 27.02.2018 o 12:16, Tomasz Szcześniak pisze:

Są wzmacniacze logarytmujące. Możesz zlogarytmować i odjąć :) Ale trzeba by jeszcze po tym zlinearyzowaĆ.

Reply to
Mario

Dnia 27.02.2018 J.F. <jfox snipped-for-privacy@poczta.onet.pl> napisał/a:

Współbieżne, nie napisałem. Różnice nie powinny być większe niż kilkanaście procent.

Układ czysto analogowy to i nie chcę tam się w cyfrówkę bawić. To ma być charakterograf, i chcę widziec charakterystykę wykreślającą zgodność charakterystyk dwóch elementów.

Za mała różnica. Chyba. Mogę spróbować policzyć, pomacać prototyp.

DC do 100Hz wystarczy.

Reply to
Tomasz Szcześniak

Dnia 27 Feb 2018 15:41:03 GMT, Tomasz Szcześniak napisał(a):

Eee tam. Karta/modul USB przetwornikow do komputera i masz najlepszy charakterograf :-)

No to jeszcze takie cuda, ze przetwornik A/D, ale taki co ma wejscie napiecia odniesienia, a wyjciem cyfrowym poganiac D/A.

Czy dual slope, cos ala 7109/7107.

J.

Reply to
J.F.

Am 27.02.2018 um 12:16 schrieb Tomasz Szcześniak:

Najłatwiej inwerter na jednym, bufor na drugim napięciu i oba na układ mnożący. Tak robiliśmy na laboratorium z maszyn analogowych ;-) Albo cyfrowo.

Waldek

Reply to
Waldemar

W dniu 27.02.2018 o 12:16, Tomasz Szcześniak pisze:

Może MPY634? Tylko cena trochę z kosmosu.

Reply to
Jakub Rakus

W dniu 28.02.2018 o 11:31, Waldemar pisze:

Hmmm... -V1 * V2 = V1 / V2 ??? Raczej układ mnożący w pętli ujemnego sprzężenia zwrotnego wzmacniacza operacyjnego Vo = (V1 * K) / V2

Reply to
Grzegorz Kurczyk

Witam!

W dniu 2018-02-27 o 12:16, Tomasz Szcześniak pisze:

A może z takich gotowców da się coś wybrać?

formatting link

Reply to
Dykus

Dnia 28.02.2018 J.F. <jfox snipped-for-privacy@poczta.onet.pl> napisał/a:

No, jeszcze trochę więcej, bo 5V to mało :) Ale ten projekt traktuję tez jako trening, żeby całkiem analogowej elektroniki nie zapomnieć :)

A na to nie wpadłem, a faktycznie - realizuje to co trzeba, prosto i wygodnie. Trzeba przepatrzyż szuflady, polutowac protoptyp i pomierzyć. W sumie ciekawy jestem, jak sie zachowa przetwornik AD przy tak dużych zmianach napięcia odniesienia, ale z drugiej strony - 8 bitów dokładności to tu naprawde dużo.

Reply to
Tomasz Szcześniak

Dnia 28.02.2018 Jakub Rakus snipped-for-privacy@op.pl napisał/a:

Piękan kostka :) Ze starych dobry czasów, kiedy układy jeszcze nie składały się wyłącznie z procesorów... Cena owszem, z kosmosu, ale jeszcze da się przeżyć. Pomacamy, fabryczna aplikacja wprost wspomina o układzie ilorazującym :)

Reply to
Tomasz Szcześniak

Am 28.02.2018 um 18:45 schrieb Grzegorz Kurczyk:

Fakt, źle napisałem. Nie inwerter, tylko układ odwracający 1/V1

Waldek

Reply to
Waldemar

Użytkownik "TomaszSzcześniak" napisał w wiadomości grup dyskusyjnych: snipped-for-privacy@tomek.dom... Dnia 28.02.2018 J.F. <jfox snipped-for-privacy@poczta.onet.pl> napisał/a:

Nie wiem czy warto - klient pewnie doceni, ze nie musi charakterystyki ogladac na oscyloskopie, tylko moze wyeksportowac do obrazka, excela itp.

J.

Reply to
J.F.

Użytkownik "Waldemar" napisał w wiadomości grup dyskusyjnych: snipped-for-privacy@mid.uni-berlin.de... Am 28.02.2018 um 18:45 schrieb Grzegorz Kurczyk:

odwracajacy to nie wiem czy mieliscie.

Tak jak Grzegorz pisze - uklad mnozący w petli sprzezenia, i mozna pisac ze "inwerter" zamieszany.

J.

Reply to
J.F.

W dniu 01.03.2018 o 11:37, Waldemar pisze:

Zastanawiam się tylko jak zrobić układ odwracający Vo= 1/Vi ? I wychodzi mi, że będzie to układ mnożący w pętli ujemnego sprzężenia zwrotnego wzmacniacza operacyjnego z "umasionym" wejściem nieodwracającym z współczynnkiem wzmocniania K=1 ;-) Chyba, że to da się zrobić jakoś prościej...

Reply to
Grzegorz Kurczyk

Użytkownik "Grzegorz Kurczyk" napisał w wiadomości grup dyskusyjnych:5a985126$0$659$ snipped-for-privacy@news.neostrada.pl... W dniu 01.03.2018 o 11:37, Waldemar pisze:

Niekoniecznie umasionym. Bo gdzies trzeba podac te "1".

Biorac pod uwage znaki - to wlasnie zapodalbym ja na nieodwracjace wejscie.

Logarytmami na diodach i opampach ...

J.

Reply to
J.F.

W dniu 01.03.2018 o 20:24, J.F. pisze:

Oczywiście masz rację. Miałem na myśli stały potencjał i wyszło mi umasienie w cudzysłowiu :-)

Ale czy w całości wyjdzie prościej ? Operacyjniak + układ mnożący vs układ logarytmujący na diodach i oampach + układ mnożący

Reply to
Grzegorz Kurczyk

Dwa układy logarytmujące, subtraktor i układ wykładniczy. Tylko zanim sobie poradzisz ze zrównoważeniem i skompensowaniem temperaturowym tego wynalazku, to się okaże, że atmelek z dwukanałwoym ADC i DAC Ci załatwi sprawę. Tylko DAC musi być 14-bitowy.

Pozdrawiam, Piotr

Reply to
Piotr Wyderski

Przy czym charakterystykę 1/x ma sporo elementów: warikap, wzmacniacz magnetyczny, pewnie coś aktywnego też.

Pozdrawiam, Piotr

Reply to
Piotr Wyderski

A w datasheecie piszą "low cost". Widac nie tylko prasa kłamie. ;-P

Oraz o tym, że jego dokładność to 2,5% przy dynamice 20dB. ty chcesz 40.

Pozdrawiam, Piotr

Reply to
Piotr Wyderski

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.